"华为杯”第四届中国研究生创“芯”大赛——企业命题合集
作者:秘书处 发布时间:2021-03-25 来源:中国研究生创“芯”大赛 阅读次数:12527

 

华为企业命题

赛题一:主动降噪(ANC)降噪滤波器设计及实现

赛题二:主动降噪(ANC)外围低延时升降采样滤波器设计及实现

赛题三:逻辑实现高性能NTT(数论变换)多项式乘法

赛题四:逻辑实现超低面积超低功耗带防护的AES算法

赛题五:逻辑实现超高性能的SM4-GCM算法

赛题六: 小面积/低功耗TRNG熵源设计以及实现。

赛题七: 基于ARM TrustZone架构的系统安全增强设计方案

赛题八:硬件实现超低功耗乘法器

赛题九:超高性能非对称算法RSA/ECC硬件实现

 

作品提交要求: 

由于华为赛题的专项奖是线下评审,没有答辩环节,除按竞赛组委会要求提交PPT外,还需按华为赛题要求提供文档和代码。如果是硬件作品,需提供照片或视频,含竞赛组成员合影。

 

华为专项奖设置: 

华为公司为选作华为赛题的前15名赛队设立华为专项奖,获奖赛队可同时参评竞赛组委会设立的其它竞赛奖。

华为专项奖一等奖5队,每队奖金1万元,

华为专项奖二等奖10队,每队奖金0.5万元。

 

华为命题专家咨询邮箱:wangbo24@hisilicon.com

 

 

 

二、Synopsys企业命题

赛题一:人工智能物联网/AIoT

赛题二:物联网与系统安全/IoT & Security

开发板申请:

参赛者可于510号之前提交项目计划书,经组委会评审后择优发放开发板。项目计划书需包含方案概述、难点与创新点、可行性分析、开发计划等内容,模板在如下链接下载。

https://github.com/foss-for-synopsys-dwc-arc-processors/embarc_applications/tree/master/arc_design_contest/2021/

 

作品提交要求:

除按竞赛组委会要求提交PPT外,还需提供:

  1. 详细设计文档和软硬件代码。
  2. 作品展示视频。视频时长不超过8分钟,文件大小100MB以内。
  3. 所有获奖作品需要上传至embARC开源软件平台应用板块,上传要求见如下链接说明。

https://github.com/foss-for-synopsys-dwc-arc-processors/embarc_applications/tree/master/arc_design_contest/2021

 

奖项设置 :

一等奖(两名):10000元/名

二等奖(四名):5000元/名

除奖金外,新思科技对优秀团队还提供如下奖励:

  1. 择优给予获奖者实习生岗位机会;
  2. 拟邀请优秀获奖者参加2021 Synopsys ARC处理器峰会,最终方案以新思科技官宣为准。

 

Synopsys答疑邮箱:snps_arcc@synopsys.com

 

 

 

三、日月光企业命题

赛题一. 运用SiP的Chiplet模块化设计:

赛题二. 智能制造, 工业物联网:

赛题三.智慧城市/小区/校园/机场/港口/医疗:

赛题四. 智能汽车:实现智慧出行

赛题五. TWS SiP 真无线蓝牙系统级封装 应用设计

  

参赛要求 :

参赛队应项目计划书需包含:项目难点与创新、方案概述、可行性分析、人员组成与分工、开发计划等。

 

作品提交要求:

参赛队将完成的作品提交至大赛官网。作品形式为视频/带语音讲解的PPT及必要的技术文档,其中视频及PPT时长限制在8分钟内,大小不超过120M。

 

日月光SiP 创新奖 奖项设置:

一等奖(1队): 人民币一万元

二等奖(3队): 人民币五千元

 

 

 

 

四、格科微企业命题

赛题一:片上高速环振PLL设计

赛题二:多通道高速ADC的设计

赛题三:基于行操作的大容量存储器的加速器设计

 

输出要求:

除按竞赛组委会要求提交PPT外,还需提供:

1.给出设计文档:实现的功能、分析过程、网络描述、性能指标(基于公开常见数据集)、设计方案、激活值和权重在存储器中的映射、计算单元的调度控制过程等

2. 给出RTL代码(不建议使用HLS生成)、验证环境、综合结果(SRAM等资源消耗情况、等效门数等)、RTL功耗分析结果、如果调度是软件控制请给出实现框图和软件代码、总结报告未来的改进方向

3. 设计中的难点解决与团队合作过程心得小结

 

格科微企业专项奖 奖项设置:

一等奖(1队): 人民币一万元

二等奖(3队): 人民币五千元

 

 

Cadence企业命题

赛题:三维集成电路互联优化算法

赛题简介:

对于由两个裸片(Die)面对面堆叠组成的一个三维芯片,根据两个芯片各自的标准单元摆放位置(standard cell placement)以及他们之间的时序及连接关系,优化裸片之间放置的混合键合(Hybrid Bonding)的位置及信号分配,从而使得三维芯片整体的绕线长度(wire length)以及时序(Timing)最优。

赛题提交要求:

  • 成果展示PPT
  • 可重现结果的算法代码
  • 上下两层裸片各自的Innovus设计存盘数据(可供验证)
  • 第二题、第三题需提供时序分析报告和对应的脚本(可供验证)

提供的软件:

Innovus Implementation System

Tempus Timing Signoff Solution

(以及相应用户手册)

 

奖项设置:

一等奖(一名):10000元/名

二等奖(三名):5000元/名

除奖金外,Cadence楷登电子对优秀团队还择优给予获奖者实习生岗位机会

Cadence楷登电子答疑邮箱:cadence_contest@cadence.com

 

 

六、艾为电子企业命题

赛题名称:18bit 24KHz信号带宽Sigma delta ADC设计

  

作品提交要求:

模拟ΣΔM需提供完整电路分析设计报告:ⅰ电路结构分析ii电路非理想性分析iii电路仿真结果

数字滤波器需提供设计仿真报告:i结构分析ii.RTL代码与对应仿真结果 iii 模拟数字混合仿真结果(不必须)

作品讲解及展示PPT。

作品展示视频。视频时长不超过10分钟,文件大小100MB以内。

 

艾为电子企业专项奖 奖项设置:

一等奖(1队): 人民币一万元

二等奖(2队): 人民币五千元

 

 

 

 

七、芯华章企业命题

赛题一:RISC-V 仿真并加速验证小系统设计

最终输出要求

除按竞赛组委会要求提交PPT外,还需提供: 

1.详细的设计报告,包括FPGA选型报告(20%)

2.RTL综合成功,并给出综合结果(Utilization 报告)(10%)

3.设计人机交互单元。示例:Uart 或者 Ethernet (20%)

   人机交互接口包括FPGA 可实现 RTL 单元和C/C++ driver 

4.生成FPGA 可下载bitstream ,并给出Timing 分析报告(10%) 

赛题咨询邮箱: haitaoz@x-epic.com

 

 

赛题二:纠错编解码算法实现和验证

输出要求:

除按竞赛组委会要求提交PPT外,还需提供: 

1.详细设计文档和逻辑代码;

2.有验证的用例和波形截图。

3.有验证方案详细文档。包括验证框架图,功能点列表,测试用例,测试结果,验证质量分析等。

赛题咨询邮箱:mingkec@x-epic.com

 

 芯华章业专项奖 奖项设置:

一等奖(2队): 人民币一万元

二等奖(4队): 人民币五千元

 

 

、泰瑞达企业命题

赛题一:利用AI技术优化模拟信号源

作品要求:

除按竞赛组委会要求提交PPT外,还需提供:

参赛者通过设计AI模型完成主要指标的训练、优化,并提交优化结果,包括但不限于:

  • 优化前后的信号的指标参数以及时、频域对比结果;
  • 选定的AI模型描述和AI模型的性能评估;
  • 源代码;
  • 原始数据(可选)

标注:

1报名参赛的前三十只队伍凭预研方案(PPT),将获得泰瑞达提供的一套参考硬件电路 A/D+D/A)预研方案中包括但不限于:

  • 描述参赛者对赛题的理解;
  • 参考文献检索;
  • 描述设计思路,以及希望利用AI优化达到的目标。

2参赛者利用提供的参考硬件电路搭建系统硬件平台,并配合MCU/ARM/DSP/FPGA/PC等实现。

 

赛题二:程序语言-UML时序智能生成器

作品要求:

除按竞赛组委会要求提交PPT外,还需提供:

  1. 设计文档(设计文档中标明所选难度);
  2. 源代码;
  3. 测试报告;
  4. 工具要分析的原始代码(输入件);
  5. UML时序图(输出件);

  

奖项设置:

一等奖(一支队伍) 奖金10,000人民币,公司暑期实习,优先录用

二等奖(三支队伍) 奖金5,000人民币每队,优先录用

 

赛题专项答疑:

Email地址:contest.china@teradyne.com

请注明:华为杯、参赛题目、参赛队伍信息(学校,队伍编号)、联系方式 (姓名,email地址,联系电话等)、问题描述

 

 

 

、芯来科技企业命题

 

赛题:基于蜂鸟E203 RISC-V处理器内核的人工智能SoC设计

提交内容:

除按竞赛组委会要求提交PPT外,还需提供:

  1. 设计报告:
    • 作品展板与PPT,包括但不限于团队介绍、项目心得体会、项目研发情况、技术创新点、后续工作
    • 设计报告,内容建议包括但不限于以下内容:项目背景、系统功能介绍、系统架构、软硬件功能划分、硬件加速器详细设计,软件实现、功能仿真、整体测试结果以及总结
    • 系统展示图片、视频(时长不超过10分钟,文件大小100MB以内)
  2. 设计数据:
    • 硬件开发板原理图
      1.  
    • 软硬件设计源代码
    • 仿真和测试结果

参赛平台:

  1.  参赛所选用的FPGA开发平台限定于Xilinx FPGA,不得采用内含硬核处理器的FPGA芯片(包括不限于ZYNQ等),具体型号和开发板厂家不限。
  2.  参赛所用FPGA开发平台由参赛队伍自行采购。

 

答疑邮箱canhu@nucleisys.com

奖项设置:

一等奖(一名):10000元/名

二等奖(三名):5000元/名